Part Number Hot Search : 
ZY22GP TF03N MBRB20 3EZ22 C68HC05 BT1201 LP80C52 FSB5045
Product Description
Full Text Search
  iout Datasheet PDF File

For iout Found Datasheets File :: 33649    Search Time::2.953ms    
Page :: | 1 | 2 | 3 | <4> | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | 14 | 15 |   

    DS1742 DS1742-100 DS1742-70 DS1742W-120 DS1742W-150

MAXIM - Dallas Semiconductor
Dallas Semiconducotr
DALLAS[Dallas Semiconductor]
Part No. DS1742 DS1742-100 DS1742-70 DS1742W-120 DS1742W-150
OCR Text ...output) Output Logic 1 Voltage (iout = -1.0 mA) Output Logic 0 Voltage (iout = +2.1 mA) Write Protection Voltage Battery Switch-over Voltage SYMBOL ICC ICC1 ICC2 IIL IOL VOH -1 -1 2.4 MIN TYP 15 1 1 MAX 50 3 3 +1 +1 UNITS mA mA mA A A 1 NOT...
Description Y2KC Nonvolatile Timekeeping RAM

File Size 161.94K  /  12 Page

View it Online

Download Datasheet





    DS2501

Advanced Monolithic Sys...
Advanced Monolithic Systems
Part No. DS2501
OCR Text ... Electrical Characteristics at iout = 0 mA, and TJ = +25C unless otherwise specified. Parameter Thermal Regulation Dropout Voltage Control Dropout (VCONTROL - VOUT) Power Dropout (VPOWER - VOUT) AMS2501/AMS2502/-1.5/1.8/-2.5/-2.85/-3.0/-3...
Description 1A VERY LOW DROPOUT VOLTAGE REGULATORS

File Size 72.69K  /  8 Page

View it Online

Download Datasheet

    EL6295CJ EL6265C

Elantec Semiconductor
Part No. EL6295CJ EL6265C
OCR Text ...GOUT 27 FOUT 26 EOUT 25 HOUT 24 iout 23 JOUT 36 VREF 37 GND 38 VDD 35 GS0 34 GS1 33 AZ CIN 1 Ordering Information Part No EL6295CJ Package 38-Pin LPP Tape & Reel Outline # MDP0046 BIN 2 AIN 3 GIN 4 FIN 5 EIN 6 HIN 7 GND 8 IIN 9 JI...
Description 13 Channel, 60MHz, Switched Gain Pre-Amp

File Size 140.36K  /  2 Page

View it Online

Download Datasheet

    HFA3824A HFA3824AIV HFA3824AIV96 FN4459

INTERSIL[Intersil Corporation]
Part No. HFA3824A HFA3824AIV HFA3824AIV96 FN4459
OCR Text ...5 TEST4 TEST3 TEST2 TEST1 TEST0 iout QOUT VDD GND Simplified Block Diagram IIN DE-SPREADER 3-BIT A/D TEST_CK TX_PE TXD TXCLK TX_RDY GND VDD R/W CS VDDA GND IIN 1 2 3 4 5 6 7 8 9 10 48 47 46 45 44 43 42 41 40 39 38 37 36...
Description From old datasheet system
Direct Sequence Spread Spectrum Baseband Processor

File Size 269.61K  /  40 Page

View it Online

Download Datasheet

    HFA3860A HFA3860AIV HFA3860AIV96 FN4488

INTERSIL[Intersil Corporation]
Part No. HFA3860A HFA3860AIV HFA3860AIV96 FN4488
OCR Text ...5 TEST4 TEST3 TEST2 TEST1 TEST0 iout QOUT VDD GND SIMPLIFIED BLOCK DIAGRAM IIN DE-SPREADER 3-BIT A/D TEST_CK TX_PE TXD TXCLK TX_RDY GND VDD R/W CS VDDA GND IIN 48 47 46 45 44 43 42 41 40 39 38 37 36 35 2 34 3 33 4 32 5 31 ...
Description From old datasheet system
Direct Sequence Spread Spectrum Baseband

File Size 250.29K  /  39 Page

View it Online

Download Datasheet

    HFA3860B HFA3860BIV HFA3860BIV96 FN4594

INTERSIL[Intersil Corporation]
Part No. HFA3860B HFA3860BIV HFA3860BIV96 FN4594
OCR Text ...7x7 Pinout HFA3860B (TQFP) iout QOUT TEST7 TEST6 TEST5 TEST4 VDD GND TEST3 TEST2 TEST1 TEST0 Simplified Block Diagram IIN DE-SPREADER 3-BIT A/D QOUT QIN RSSI GND VREFP VREFN VDDA GND VDDA VDD GND SDI SCLK SPREADER TEST_CK ...
Description From old datasheet system
nullDirect Sequence Spread Spectrum Baseband Processor

File Size 271.16K  /  40 Page

View it Online

Download Datasheet

    HFA3860 HFA3860IV HFA3860IV96 FN4347

INTERSIL[Intersil Corporation]
Part No. HFA3860 HFA3860IV HFA3860IV96 FN4347
OCR Text ...5 TEST4 TEST3 TEST2 TEST1 TEST0 iout QOUT VDD GND IIN DE-SPREADER 3-BIT A/D QIN 3-BIT A/D DATA TO NETWORK PROCESSOR DEMOD. RSSI 6-BIT A/D CCA PROCESSOR INTERFACE EST_CK TX_PE TXD TXCLK TX_RDY GND VDD R/W ...
Description From old datasheet system
11 Mbps Direct Sequence Spread Spectrum Baseband Processor

File Size 249.00K  /  40 Page

View it Online

Download Datasheet

    HY62WT08081E HY62WT08081E-DGC HY62WT08081E-DGE HY62WT08081E-DGI HY62WT08081E-DPC HY62WT08081E-DPE HY62WT08081E-DPI HY62W

Hynix Semiconductor, Inc.
Hynix Semiconductor Inc.
HYNIX[Hynix Semiconductor]
Part No. HY62WT08081E HY62WT08081E-DGC HY62WT08081E-DGE HY62WT08081E-DGI HY62WT08081E-DPC HY62WT08081E-DPE HY62WT08081E-DPI HY62WT08081E-DTC HY62WT08081E-DTE HY62WT08081E-DTI HY62WT0808 HY62WT08081E-DG70C
OCR Text ...V C C C C W mA C*sec TSTG PD iout TSOLDER Note 1. Stresses greater than those listed under ABSOLUTE MAXIMUM RATINGS may cause permanent damage to the device. This is stress rating only and the functional operation of the device under the...
Description HY62WT08081E Series 32Kx8bit CMOS SRAM HY62WT08081E系列32Kx8bit CMOS SRAM

File Size 202.96K  /  13 Page

View it Online

Download Datasheet

    ICL232CBE ICL232CPE ICL232LBE ICL232LPE ICL232 ICL232MJE

Intersil, Corp.
INTERSIL[Intersil Corporation]
Part No. ICL232CBE ICL232CPE ICL232LBE ICL232LPE ICL232 ICL232MJE
OCR Text ...VCC = 5V, TA = 25oC 3.0 0.8 0.2 iout = 3.2mA iout = -1.0mA RS-232 to TTL CL = 10pF, RL = 3k, TA = 25oC (Notes 2, 3) RL = 3k, CL = 2500pF Measured from +3V to -3V or -3V to +3V VCC = V+ = V- = 0V, VOUT = 2V T1OUT or T2OUT Shorted to GND 3.5 ...
Description 5V Powered, Dual RS-232 Transmitter/Receiver 5V的技术,双路RS - 232发送器/接收
5V Powered/ Dual RS-232 Transmitter/Receiver

File Size 103.12K  /  6 Page

View it Online

Download Datasheet

    ICL3207 ICL3207CA ICL3207CAZ ICL3207CB ICL3207CBZ ICL3207IA ICL3207IAZ ICL3217 ICL3217CA ICL3217CAZ ICL3217CB ICL3217CBZ

INTERSIL[Intersil Corporation]
Part No. ICL3207 ICL3207CA ICL3207CAZ ICL3207CB ICL3207CBZ ICL3207IA ICL3207IAZ ICL3217 ICL3217CA ICL3217CAZ ICL3217CB ICL3217CBZ ICL3217IA ICL3217IAZ ICL3217IB
OCR Text ...Voltage Low Output Voltage High iout = 1.6mA iout = -1.0mA ICL3217 Powers Up (Figure 4) ICL3217 Powers Down (Figure 4) Full Full Full Full Full Full Full 2.0 2.4 0.01 0.05 0.8 1.0 10 0.4 V V V A A V V VCC-0.6 VCC-0.1 -2.7 -0.3 100 30 ...
Description Low Power/ 3V to 5.5V/ 250kbps/ RS-232 Transmitters/Receivers
Low Power, 3V to 5.5V, 250kbps, RS-232 Transmitters/Receivers
RS-232 Transceiver, 5T/3R, 3V to 5.5V, 250kbps, 13KV ESD, Auto Powerdown

File Size 217.99K  /  11 Page

View it Online

Download Datasheet

For iout Found Datasheets File :: 33649    Search Time::2.953ms    
Page :: | 1 | 2 | 3 | <4> | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | 14 | 15 |   

▲Up To Search▲

 




Price and Availability




 
Price & Availability of iout

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X
1.4242730140686